2 Star 8 Fork 2

FiberCOMM / PSTR17R5B

加入 Gitee
与超过 1200万 开发者一起发现、参与优秀开源项目,私有仓库也完全免费 :)
免费加入
该仓库未声明开源许可证文件(LICENSE),使用请关注具体项目描述及其代码上游依赖。
克隆/下载
layer0.fdep 32.43 KB
一键复制 编辑 原始数据 按行查看 历史
FiberCOMM 提交于 2020-10-21 15:48 . 1.增益控制改为DS3502芯片
123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181182183184185186187188189190191192193194195196197198199200201202203204205206207208209210211212213214215216217218219220221222223224225226227228229230231232233234235236237238239240241242243244245246247248249250251252253254255256257258259260261262263264265266267268269270271272273274275276277278279280281282283284285286287288289290291292293294295296297298299300301302303304305306307308309310311312313314315316317318319320321322323324325326327328329330331332333334335336337338339340341342343344345346347348349350351352353354355356357358359360361362363364365366367368369370371372373374375376377378379380381382383384385386387388389390391392393394395396397398399400401402403404405406407408409410411412413414415416417418419420421422423424425426427428429430431432433434435436437438439440441442443444445446447448449450451452453454455456457458459460461462463464465466467468469470471472473474475476477478479480481482483484485486487488489490491492493494495496497498499500501502503504505506507508509510511512513514515516517518519520521522523524525526527528529530531532533534535536537538539540541542543544545546547548549550551552553554555556557558559560561562563564565566567568569570571572573574575576577578579580581582583584585586587588589590591592593594595596597598599600601602603604605606607608609610611612613614615616617618619620621622623624625626627628629630631632633634635636637638639640641642643644645646647648649650651652653654655656657658659660661662663664665666667668669670671672673674675676677678679680681682683684685686687688689690691692693694695696697698699700701702703704705706707708709710711712713714715716717718719720721722723724725726727728729730731732733734735736737738739740741742743744745746747748749750751752753754755756757758759760761762763764765766767768769770771772773774775776777778779780781782783784785786787788789790791792793794795796797798799800801802803804805806807808809810811812813814815816817818819820821822823824825826827828829830831832833834835836837838839840841842843844845846847848849850851852853854855856857858859860861862863864865866867868869870871872873874875876877878879880881882883884885886887888889890891892893894895896897898899900901902903904905906907908909910911912913914915916917918919920921922923924925926927928929930931932933934935936937938939940941942943944945946947948949950951952953954955956957958959960961962963964965966967968969970971972973974975976977978979980981982983984985986987988989990991992993994995996997998999100010011002100310041005100610071008100910101011101210131014101510161017101810191020102110221023102410251026102710281029103010311032103310341035103610371038103910401041104210431044104510461047104810491050105110521053105410551056105710581059106010611062106310641065106610671068106910701071107210731074107510761077107810791080108110821083108410851086108710881089109010911092109310941095109610971098109911001101110211031104110511061107110811091110111111121113111411151116111711181119112011211122112311241125112611271128112911301131113211331134113511361137113811391140114111421143114411451146114711481149115011511152115311541155115611571158115911601161116211631164116511661167116811691170117111721173117411751176117711781179118011811182118311841185118611871188118911901191119211931194
#OPTIONS:"|-mixedhdl|-layerid|0|-top|top|-hasedforngc|-dspmac|-pqdpadd|-primux|-fixsmult|-sdff_counter|-infer_seqShift|-verification_mode|0|-nram|-divnmod|-loadunimacro|-I|F:\\PSTR17R5B\\|-I|D:\\Synopsys\\fpga_H201303\\lib|-v2001|-devicelib|D:\\Synopsys\\fpga_H201303\\lib\\xilinx\\unisim_m10i.v|-devicelib|D:\\Synopsys\\fpga_H201303\\lib\\xilinx\\unisim.v|-encrypt|-pro|-ll|2000|-compiler_compatible|-ui|-fid2|-ram|-sharing|on|-autosm|-lib|work|-lib|work|-lib|work|-lib|work|-lib|work|-lib|work|-lib|work|-lib|work|-lib|work|-lib|work|-lib|work|-lib|work|-lib|work"
#CUR:"D:\\Synopsys\\fpga_H201303\\bin64\\c_ver.exe":1362063086
#CUR:"D:\\Synopsys\\fpga_H201303\\lib\\xilinx\\unisim_m10i.v":1361803430
#CUR:"D:\\Synopsys\\fpga_H201303\\lib\\xilinx\\unisim.v":1361803430
#CUR:"D:\\Synopsys\\fpga_H201303\\lib\\vlog\\umr_capim.v":1361803524
#CUR:"D:\\Synopsys\\fpga_H201303\\lib\\vlog\\scemi_objects.v":1361803524
#CUR:"D:\\Synopsys\\fpga_H201303\\lib\\vlog\\scemi_pipes.svh":1361803524
#CUR:"D:\\Synopsys\\fpga_H201303\\lib\\vlog\\hypermods.v":1361803524
#CUR:"D:\\Synopsys\\fpga_H201303\\bin\\..\\lib\\xilinx\\unisim.v":1361803430
#CUR:"F:\\PSTR17R5B\\parallel_wr.v":1579521482
#CUR:"F:\\PSTR17R5B\\spi_slave_r.v":1580486100
#CUR:"F:\\PSTR17R5B\\ipcore_dir\\myila.v":1580959318
#CUR:"F:\\PSTR17R5B\\ipcore_dir\\myicon.v":1580959384
#CUR:"F:\\PSTR17R5B\\ipcore_dir\\myfifo.v":1580959082
#CUR:"F:\\PSTR17R5B\\ad9914_reg_wr.v":1579623252
#CUR:"F:\\PSTR17R5B\\work_flow.v":1592739904
#CUR:"F:\\PSTR17R5B\\pwr_rst.v":1579435602
#CUR:"F:\\PSTR17R5B\\ds3502.v":1592738735
#CUR:"F:\\PSTR17R5B\\depack.v":1582617090
#CUR:"F:\\PSTR17R5B\\ad9914_ctrl.v":1584432811
#CUR:"F:\\PSTR17R5B\\top.v":1592738776
#numinternalfiles:6
#defaultlanguage:verilog
0 "D:\Synopsys\fpga_H201303\bin\..\lib\xilinx\unisim.v" verilog
1 "F:\PSTR17R5B\parallel_wr.v" verilog
2 "F:\PSTR17R5B\spi_slave_r.v" verilog
3 "F:\PSTR17R5B\ipcore_dir\myila.v" verilog
4 "F:\PSTR17R5B\ipcore_dir\myicon.v" verilog
5 "F:\PSTR17R5B\ipcore_dir\myfifo.v" verilog
6 "F:\PSTR17R5B\ad9914_reg_wr.v" verilog
7 "F:\PSTR17R5B\work_flow.v" verilog
8 "F:\PSTR17R5B\pwr_rst.v" verilog
9 "F:\PSTR17R5B\ds3502.v" verilog
10 "F:\PSTR17R5B\depack.v" verilog
11 "F:\PSTR17R5B\ad9914_ctrl.v" verilog
12 "F:\PSTR17R5B\top.v" verilog
#Dependency Lists(Uses List)
0 -1
1 -1
2 -1
3 -1
4 -1
5 -1
6 1
7 -1
8 -1
9 -1
10 5 2
11 6
12 8 10 9 11 7
#Dependency Lists(Users Of)
0 -1
1 6
2 10
3 -1
4 -1
5 10
6 11
7 12
8 12
9 12
10 12
11 12
12 -1
#Design Unit to File Association
module work AFIFO36_INTERNAL 0
module work AND2 0
module work AND2B1 0
module work AND2B1L 0
module work AND2B2 0
module work AND3 0
module work AND3B1 0
module work AND3B2 0
module work AND3B3 0
module work AND4 0
module work AND4B1 0
module work AND4B2 0
module work AND4B3 0
module work AND4B4 0
module work AND5 0
module work AND5B1 0
module work AND5B2 0
module work AND5B3 0
module work AND5B4 0
module work AND5B5 0
module work ARAMB36_INTERNAL 0
module work AUTOBUF 0
module work BSCANE2 0
module work BSCAN_FPGACORE 0
module work BSCAN_SPARTAN3 0
module work BSCAN_SPARTAN3A 0
module work BSCAN_SPARTAN6 0
module work BSCAN_VIRTEX4 0
module work BSCAN_VIRTEX5 0
module work BSCAN_VIRTEX6 0
module work BUF 0
module work BUFCF 0
module work BUFE 0
module work BUFG 0
module work BUFGCE 0
module work BUFGCE_1 0
module work BUFGCTRL 0
module work BUFGDLL 0
module work BUFGMUX 0
module work BUFGMUX_1 0
module work BUFGMUX_CTRL 0
module work BUFGMUX_VIRTEX4 0
module work BUFGP 0
module work BUFG_LB 0
module work BUFH 0
module work BUFHCE 0
module work BUFIO 0
module work BUFIO2 0
module work BUFIO2FB 0
module work BUFIO2_2CLK 0
module work BUFIODQS 0
module work BUFMR 0
module work BUFMRCE 0
module work BUFPLL 0
module work BUFPLL_MCB 0
module work BUFR 0
module work BUFT 0
module work CAPTUREE2 0
module work CAPTURE_FPGACORE 0
module work CAPTURE_SPARTAN3 0
module work CAPTURE_SPARTAN3A 0
module work CAPTURE_VIRTEX4 0
module work CAPTURE_VIRTEX5 0
module work CAPTURE_VIRTEX6 0
module work CARRY4 0
module work CFGLUT5 0
module work CLKDLL 0
module work CLKDLLE 0
module work CLKDLLHF 0
module work CONFIG 0
module work CRC32 0
module work CRC64 0
module work DCIRESET 0
module work DCM 0
module work DCM_ADV 0
module work DCM_BASE 0
module work DCM_CLKGEN 0
module work DCM_PS 0
module work DCM_SP 0
module work DNA_PORT 0
module work DSP48 0
module work DSP48A 0
module work DSP48A1 0
module work DSP48E 0
module work DSP48E1 0
module work DSP48E2 0
module work EFUSE_USR 0
module work EMAC 0
module work FD 0
module work FDC 0
module work FDCE 0
module work FDCE_1 0
module work FDCP 0
module work FDCPE 0
module work FDCPE_1 0
module work FDCP_1 0
module work FDC_1 0
module work FDDRCPE 0
module work FDDRRSE 0
module work FDE 0
module work FDE_1 0
module work FDP 0
module work FDPE 0
module work FDPE_1 0
module work FDP_1 0
module work FDR 0
module work FDRE 0
module work FDRE_1 0
module work FDRS 0
module work FDRSE 0
module work FDRSE_1 0
module work FDRS_1 0
module work FDR_1 0
module work FDS 0
module work FDSE 0
module work FDSE_1 0
module work FDS_1 0
module work FD_1 0
module work FIFO16 0
module work FIFO18 0
module work FIFO18E1 0
module work FIFO18_36 0
module work FIFO36 0
module work FIFO36E1 0
module work FIFO36_72 0
module work FIFO36_72_EXP 0
module work FIFO36_EXP 0
module work FMAP 0
module work FRAME_ECCE2 0
module work FRAME_ECC_VIRTEX4 0
module work FRAME_ECC_VIRTEX5 0
module work FRAME_ECC_VIRTEX6 0
module work GND 0
module work GT11 0
module work GT11CLK 0
module work GT11CLK_MGT 0
module work GT11_CUSTOM 0
module work GT11_DUAL 0
module work GTHE1_QUAD 0
module work GTHE2_CHANNEL 0
module work GTHE2_COMMON 0
module work GTPA1_DUAL 0
module work GTPE2_CHANNEL 0
module work GTPE2_COMMON 0
module work GTP_DUAL 0
module work GTXE1 0
module work GTXE2_CHANNEL 0
module work GTXE2_COMMON 0
module work GTX_DUAL 0
module work GTZE2_OCTAL 0
module work IBUF 0
module work IBUFDS 0
module work IBUFDS_BLVDS_25 0
module work IBUFDS_DIFF_OUT 0
module work IBUFDS_DIFF_OUT_IBUFDISABLE 0
module work IBUFDS_DIFF_OUT_INTERMDISABLE 0
module work IBUFDS_DLY_ADJ 0
module work IBUFDS_GTE2 0
module work IBUFDS_GTHE1 0
module work IBUFDS_GTXE1 0
module work IBUFDS_IBUFDISABLE 0
module work IBUFDS_INTERMDISABLE 0
module work IBUFDS_LDT_25 0
module work IBUFDS_LVDSEXT_25 0
module work IBUFDS_LVDSEXT_25_DCI 0
module work IBUFDS_LVDSEXT_33 0
module work IBUFDS_LVDSEXT_33_DCI 0
module work IBUFDS_LVDS_25 0
module work IBUFDS_LVDS_25_DCI 0
module work IBUFDS_LVDS_33 0
module work IBUFDS_LVDS_33_DCI 0
module work IBUFDS_LVPECL_25 0
module work IBUFDS_LVPECL_33 0
module work IBUFDS_ULVDS_25 0
module work IBUFG 0
module work IBUFGDS 0
module work IBUFGDS_BLVDS_25 0
module work IBUFGDS_DIFF_OUT 0
module work IBUFGDS_LDT_25 0
module work IBUFGDS_LVDSEXT_25 0
module work IBUFGDS_LVDSEXT_25_DCI 0
module work IBUFGDS_LVDSEXT_33 0
module work IBUFGDS_LVDSEXT_33_DCI 0
module work IBUFGDS_LVDS_25 0
module work IBUFGDS_LVDS_25_DCI 0
module work IBUFGDS_LVDS_33 0
module work IBUFGDS_LVDS_33_DCI 0
module work IBUFGDS_LVPECL_25 0
module work IBUFGDS_LVPECL_33 0
module work IBUFGDS_ULVDS_25 0
module work IBUFG_AGP 0
module work IBUFG_CTT 0
module work IBUFG_GTL 0
module work IBUFG_GTLP 0
module work IBUFG_GTLP_DCI 0
module work IBUFG_GTL_DCI 0
module work IBUFG_HSTL_I 0
module work IBUFG_HSTL_II 0
module work IBUFG_HSTL_III 0
module work IBUFG_HSTL_III_18 0
module work IBUFG_HSTL_III_DCI 0
module work IBUFG_HSTL_III_DCI_18 0
module work IBUFG_HSTL_II_18 0
module work IBUFG_HSTL_II_DCI 0
module work IBUFG_HSTL_II_DCI_18 0
module work IBUFG_HSTL_IV 0
module work IBUFG_HSTL_IV_18 0
module work IBUFG_HSTL_IV_DCI 0
module work IBUFG_HSTL_IV_DCI_18 0
module work IBUFG_HSTL_I_18 0
module work IBUFG_HSTL_I_DCI 0
module work IBUFG_HSTL_I_DCI_18 0
module work IBUFG_LVCMOS12 0
module work IBUFG_LVCMOS15 0
module work IBUFG_LVCMOS18 0
module work IBUFG_LVCMOS2 0
module work IBUFG_LVCMOS25 0
module work IBUFG_LVCMOS33 0
module work IBUFG_LVDCI_15 0
module work IBUFG_LVDCI_18 0
module work IBUFG_LVDCI_25 0
module work IBUFG_LVDCI_33 0
module work IBUFG_LVDCI_DV2_15 0
module work IBUFG_LVDCI_DV2_18 0
module work IBUFG_LVDCI_DV2_25 0
module work IBUFG_LVDCI_DV2_33 0
module work IBUFG_LVDS 0
module work IBUFG_LVPECL 0
module work IBUFG_LVTTL 0
module work IBUFG_PCI33_3 0
module work IBUFG_PCI33_5 0
module work IBUFG_PCI66_3 0
module work IBUFG_PCIX 0
module work IBUFG_PCIX66_3 0
module work IBUFG_SSTL18_I 0
module work IBUFG_SSTL18_II 0
module work IBUFG_SSTL18_II_DCI 0
module work IBUFG_SSTL18_I_DCI 0
module work IBUFG_SSTL2_I 0
module work IBUFG_SSTL2_II 0
module work IBUFG_SSTL2_II_DCI 0
module work IBUFG_SSTL2_I_DCI 0
module work IBUFG_SSTL3_I 0
module work IBUFG_SSTL3_II 0
module work IBUFG_SSTL3_II_DCI 0
module work IBUFG_SSTL3_I_DCI 0
module work IBUF_AGP 0
module work IBUF_CTT 0
module work IBUF_DLY_ADJ 0
module work IBUF_GTL 0
module work IBUF_GTLP 0
module work IBUF_GTLP_DCI 0
module work IBUF_GTL_DCI 0
module work IBUF_HSTL_I 0
module work IBUF_HSTL_II 0
module work IBUF_HSTL_III 0
module work IBUF_HSTL_III_18 0
module work IBUF_HSTL_III_DCI 0
module work IBUF_HSTL_III_DCI_18 0
module work IBUF_HSTL_II_18 0
module work IBUF_HSTL_II_DCI 0
module work IBUF_HSTL_II_DCI_18 0
module work IBUF_HSTL_IV 0
module work IBUF_HSTL_IV_18 0
module work IBUF_HSTL_IV_DCI 0
module work IBUF_HSTL_IV_DCI_18 0
module work IBUF_HSTL_I_18 0
module work IBUF_HSTL_I_DCI 0
module work IBUF_HSTL_I_DCI_18 0
module work IBUF_IBUFDISABLE 0
module work IBUF_INTERMDISABLE 0
module work IBUF_LVCMOS12 0
module work IBUF_LVCMOS15 0
module work IBUF_LVCMOS18 0
module work IBUF_LVCMOS2 0
module work IBUF_LVCMOS25 0
module work IBUF_LVCMOS33 0
module work IBUF_LVDCI_15 0
module work IBUF_LVDCI_18 0
module work IBUF_LVDCI_25 0
module work IBUF_LVDCI_33 0
module work IBUF_LVDCI_DV2_15 0
module work IBUF_LVDCI_DV2_18 0
module work IBUF_LVDCI_DV2_25 0
module work IBUF_LVDCI_DV2_33 0
module work IBUF_LVDS 0
module work IBUF_LVPECL 0
module work IBUF_LVTTL 0
module work IBUF_PCI33_3 0
module work IBUF_PCI33_5 0
module work IBUF_PCI66_3 0
module work IBUF_PCIX 0
module work IBUF_PCIX66_3 0
module work IBUF_SSTL18_I 0
module work IBUF_SSTL18_II 0
module work IBUF_SSTL18_II_DCI 0
module work IBUF_SSTL18_I_DCI 0
module work IBUF_SSTL2_I 0
module work IBUF_SSTL2_II 0
module work IBUF_SSTL2_II_DCI 0
module work IBUF_SSTL2_I_DCI 0
module work IBUF_SSTL3_I 0
module work IBUF_SSTL3_II 0
module work IBUF_SSTL3_II_DCI 0
module work IBUF_SSTL3_I_DCI 0
module work ICAPE2 0
module work ICAP_SPARTAN3A 0
module work ICAP_SPARTAN6 0
module work ICAP_VIRTEX4 0
module work ICAP_VIRTEX5 0
module work ICAP_VIRTEX6 0
module work IDDR 0
module work IDDR2 0
module work IDDR_2CLK 0
module work IDELAY 0
module work IDELAYCTRL 0
module work IDELAYE2 0
module work IDELAYE2_FINEDELAY 0
module work IFDDRCPE 0
module work IFDDRRSE 0
module work INV 0
module work IN_FIFO 0
module work IOBUF 0
module work IOBUFDS 0
module work IOBUFDS_BLVDS_25 0
module work IOBUFDS_DCIEN 0
module work IOBUFDS_DIFF_OUT 0
module work IOBUFDS_DIFF_OUT_DCIEN 0
module work IOBUFDS_DIFF_OUT_INTERMDISABLE 0
module work IOBUFDS_INTERMDISABLE 0
module work IOBUF_AGP 0
module work IOBUF_CTT 0
module work IOBUF_DCIEN 0
module work IOBUF_F_12 0
module work IOBUF_F_16 0
module work IOBUF_F_2 0
module work IOBUF_F_24 0
module work IOBUF_F_4 0
module work IOBUF_F_6 0
module work IOBUF_F_8 0
module work IOBUF_GTL 0
module work IOBUF_GTLP 0
module work IOBUF_GTLP_DCI 0
module work IOBUF_GTL_DCI 0
module work IOBUF_HSTL_I 0
module work IOBUF_HSTL_II 0
module work IOBUF_HSTL_III 0
module work IOBUF_HSTL_III_18 0
module work IOBUF_HSTL_II_18 0
module work IOBUF_HSTL_II_DCI 0
module work IOBUF_HSTL_II_DCI_18 0
module work IOBUF_HSTL_IV 0
module work IOBUF_HSTL_IV_18 0
module work IOBUF_HSTL_IV_DCI 0
module work IOBUF_HSTL_IV_DCI_18 0
module work IOBUF_HSTL_I_18 0
module work IOBUF_INTERMDISABLE 0
module work IOBUF_LVCMOS12 0
module work IOBUF_LVCMOS12_F_2 0
module work IOBUF_LVCMOS12_F_4 0
module work IOBUF_LVCMOS12_F_6 0
module work IOBUF_LVCMOS12_F_8 0
module work IOBUF_LVCMOS12_S_2 0
module work IOBUF_LVCMOS12_S_4 0
module work IOBUF_LVCMOS12_S_6 0
module work IOBUF_LVCMOS12_S_8 0
module work IOBUF_LVCMOS15 0
module work IOBUF_LVCMOS15_F_12 0
module work IOBUF_LVCMOS15_F_16 0
module work IOBUF_LVCMOS15_F_2 0
module work IOBUF_LVCMOS15_F_4 0
module work IOBUF_LVCMOS15_F_6 0
module work IOBUF_LVCMOS15_F_8 0
module work IOBUF_LVCMOS15_S_12 0
module work IOBUF_LVCMOS15_S_16 0
module work IOBUF_LVCMOS15_S_2 0
module work IOBUF_LVCMOS15_S_4 0
module work IOBUF_LVCMOS15_S_6 0
module work IOBUF_LVCMOS15_S_8 0
module work IOBUF_LVCMOS18 0
module work IOBUF_LVCMOS18_F_12 0
module work IOBUF_LVCMOS18_F_16 0
module work IOBUF_LVCMOS18_F_2 0
module work IOBUF_LVCMOS18_F_4 0
module work IOBUF_LVCMOS18_F_6 0
module work IOBUF_LVCMOS18_F_8 0
module work IOBUF_LVCMOS18_S_12 0
module work IOBUF_LVCMOS18_S_16 0
module work IOBUF_LVCMOS18_S_2 0
module work IOBUF_LVCMOS18_S_4 0
module work IOBUF_LVCMOS18_S_6 0
module work IOBUF_LVCMOS18_S_8 0
module work IOBUF_LVCMOS2 0
module work IOBUF_LVCMOS25 0
module work IOBUF_LVCMOS25_F_12 0
module work IOBUF_LVCMOS25_F_16 0
module work IOBUF_LVCMOS25_F_2 0
module work IOBUF_LVCMOS25_F_24 0
module work IOBUF_LVCMOS25_F_4 0
module work IOBUF_LVCMOS25_F_6 0
module work IOBUF_LVCMOS25_F_8 0
module work IOBUF_LVCMOS25_S_12 0
module work IOBUF_LVCMOS25_S_16 0
module work IOBUF_LVCMOS25_S_2 0
module work IOBUF_LVCMOS25_S_24 0
module work IOBUF_LVCMOS25_S_4 0
module work IOBUF_LVCMOS25_S_6 0
module work IOBUF_LVCMOS25_S_8 0
module work IOBUF_LVCMOS33 0
module work IOBUF_LVCMOS33_F_12 0
module work IOBUF_LVCMOS33_F_16 0
module work IOBUF_LVCMOS33_F_2 0
module work IOBUF_LVCMOS33_F_24 0
module work IOBUF_LVCMOS33_F_4 0
module work IOBUF_LVCMOS33_F_6 0
module work IOBUF_LVCMOS33_F_8 0
module work IOBUF_LVCMOS33_S_12 0
module work IOBUF_LVCMOS33_S_16 0
module work IOBUF_LVCMOS33_S_2 0
module work IOBUF_LVCMOS33_S_24 0
module work IOBUF_LVCMOS33_S_4 0
module work IOBUF_LVCMOS33_S_6 0
module work IOBUF_LVCMOS33_S_8 0
module work IOBUF_LVDCI_15 0
module work IOBUF_LVDCI_18 0
module work IOBUF_LVDCI_25 0
module work IOBUF_LVDCI_33 0
module work IOBUF_LVDCI_DV2_15 0
module work IOBUF_LVDCI_DV2_18 0
module work IOBUF_LVDCI_DV2_25 0
module work IOBUF_LVDCI_DV2_33 0
module work IOBUF_LVDS 0
module work IOBUF_LVPECL 0
module work IOBUF_LVTTL 0
module work IOBUF_LVTTL_F_12 0
module work IOBUF_LVTTL_F_16 0
module work IOBUF_LVTTL_F_2 0
module work IOBUF_LVTTL_F_24 0
module work IOBUF_LVTTL_F_4 0
module work IOBUF_LVTTL_F_6 0
module work IOBUF_LVTTL_F_8 0
module work IOBUF_LVTTL_S_12 0
module work IOBUF_LVTTL_S_16 0
module work IOBUF_LVTTL_S_2 0
module work IOBUF_LVTTL_S_24 0
module work IOBUF_LVTTL_S_4 0
module work IOBUF_LVTTL_S_6 0
module work IOBUF_LVTTL_S_8 0
module work IOBUF_PCI33_3 0
module work IOBUF_PCI33_5 0
module work IOBUF_PCI66_3 0
module work IOBUF_PCIX 0
module work IOBUF_PCIX66_3 0
module work IOBUF_SSTL18_I 0
module work IOBUF_SSTL18_II 0
module work IOBUF_SSTL18_II_DCI 0
module work IOBUF_SSTL2_I 0
module work IOBUF_SSTL2_II 0
module work IOBUF_SSTL2_II_DCI 0
module work IOBUF_SSTL3_I 0
module work IOBUF_SSTL3_II 0
module work IOBUF_SSTL3_II_DCI 0
module work IOBUF_S_12 0
module work IOBUF_S_16 0
module work IOBUF_S_2 0
module work IOBUF_S_24 0
module work IOBUF_S_4 0
module work IOBUF_S_6 0
module work IOBUF_S_8 0
module work IODELAY 0
module work IODELAY2 0
module work IODELAYE1 0
module work IODRP2 0
module work IODRP2_MCB 0
module work ISERDES 0
module work ISERDES2 0
module work ISERDESE1 0
module work ISERDESE2 0
module work ISERDES_NODELAY 0
module work JTAGPPC 0
module work JTAGPPC440 0
module work JTAG_SIME2 0
module work JTAG_SIM_SPARTAN3A 0
module work JTAG_SIM_SPARTAN6 0
module work JTAG_SIM_VIRTEX4 0
module work JTAG_SIM_VIRTEX5 0
module work JTAG_SIM_VIRTEX6 0
module work KEEPER 0
module work KEY_CLEAR 0
module work LD 0
module work LDC 0
module work LDCE 0
module work LDCE_1 0
module work LDCP 0
module work LDCPE 0
module work LDCPE_1 0
module work LDCP_1 0
module work LDC_1 0
module work LDE 0
module work LDE_1 0
module work LDP 0
module work LDPE 0
module work LDPE_1 0
module work LDP_1 0
module work LD_1 0
module work LUT1 0
module work LUT1_D 0
module work LUT1_L 0
module work LUT2 0
module work LUT2_D 0
module work LUT2_L 0
module work LUT3 0
module work LUT3_D 0
module work LUT3_L 0
module work LUT4 0
module work LUT4_D 0
module work LUT4_L 0
module work LUT5 0
module work LUT5_D 0
module work LUT5_L 0
module work LUT6 0
module work LUT6_2 0
module work LUT6_D 0
module work LUT6_L 0
module work MCB 0
module work MMCME2_ADV 0
module work MMCME2_BASE 0
module work MMCM_ADV 0
module work MMCM_BASE 0
module work MULT18X18 0
module work MULT18X18S 0
module work MULT18X18SIO 0
module work MULT_AND 0
module work MUXCY 0
module work MUXCY_D 0
module work MUXCY_L 0
module work MUXF5 0
module work MUXF5_D 0
module work MUXF5_L 0
module work MUXF6 0
module work MUXF6_D 0
module work MUXF6_L 0
module work MUXF7 0
module work MUXF7_D 0
module work MUXF7_L 0
module work MUXF8 0
module work MUXF8_D 0
module work MUXF8_L 0
module work NAND2 0
module work NAND2B1 0
module work NAND2B2 0
module work NAND3 0
module work NAND3B1 0
module work NAND3B2 0
module work NAND3B3 0
module work NAND4 0
module work NAND4B1 0
module work NAND4B2 0
module work NAND4B3 0
module work NAND4B4 0
module work NAND5 0
module work NAND5B1 0
module work NAND5B2 0
module work NAND5B3 0
module work NAND5B4 0
module work NAND5B5 0
module work NOR2 0
module work NOR2B1 0
module work NOR2B2 0
module work NOR3 0
module work NOR3B1 0
module work NOR3B2 0
module work NOR3B3 0
module work NOR4 0
module work NOR4B1 0
module work NOR4B2 0
module work NOR4B3 0
module work NOR4B4 0
module work NOR5 0
module work NOR5B1 0
module work NOR5B2 0
module work NOR5B3 0
module work NOR5B4 0
module work NOR5B5 0
module work OBUF 0
module work OBUFDS 0
module work OBUFDS_BLVDS_25 0
module work OBUFDS_LDT_25 0
module work OBUFDS_LVDSEXT_25 0
module work OBUFDS_LVDSEXT_33 0
module work OBUFDS_LVDS_25 0
module work OBUFDS_LVDS_33 0
module work OBUFDS_LVPECL_25 0
module work OBUFDS_LVPECL_33 0
module work OBUFDS_ULVDS_25 0
module work OBUFT 0
module work OBUFTDS 0
module work OBUFTDS_BLVDS_25 0
module work OBUFTDS_LDT_25 0
module work OBUFTDS_LVDSEXT_25 0
module work OBUFTDS_LVDSEXT_33 0
module work OBUFTDS_LVDS_25 0
module work OBUFTDS_LVDS_33 0
module work OBUFTDS_LVPECL_25 0
module work OBUFTDS_LVPECL_33 0
module work OBUFTDS_ULVDS_25 0
module work OBUFT_AGP 0
module work OBUFT_CTT 0
module work OBUFT_F_12 0
module work OBUFT_F_16 0
module work OBUFT_F_2 0
module work OBUFT_F_24 0
module work OBUFT_F_4 0
module work OBUFT_F_6 0
module work OBUFT_F_8 0
module work OBUFT_GTL 0
module work OBUFT_GTLP 0
module work OBUFT_GTLP_DCI 0
module work OBUFT_GTL_DCI 0
module work OBUFT_HSTL_I 0
module work OBUFT_HSTL_II 0
module work OBUFT_HSTL_III 0
module work OBUFT_HSTL_III_18 0
module work OBUFT_HSTL_III_DCI 0
module work OBUFT_HSTL_III_DCI_18 0
module work OBUFT_HSTL_II_18 0
module work OBUFT_HSTL_II_DCI 0
module work OBUFT_HSTL_II_DCI_18 0
module work OBUFT_HSTL_IV 0
module work OBUFT_HSTL_IV_18 0
module work OBUFT_HSTL_IV_DCI 0
module work OBUFT_HSTL_IV_DCI_18 0
module work OBUFT_HSTL_I_18 0
module work OBUFT_HSTL_I_DCI 0
module work OBUFT_HSTL_I_DCI_18 0
module work OBUFT_LVCMOS12 0
module work OBUFT_LVCMOS12_F_2 0
module work OBUFT_LVCMOS12_F_4 0
module work OBUFT_LVCMOS12_F_6 0
module work OBUFT_LVCMOS12_F_8 0
module work OBUFT_LVCMOS12_S_2 0
module work OBUFT_LVCMOS12_S_4 0
module work OBUFT_LVCMOS12_S_6 0
module work OBUFT_LVCMOS12_S_8 0
module work OBUFT_LVCMOS15 0
module work OBUFT_LVCMOS15_F_12 0
module work OBUFT_LVCMOS15_F_16 0
module work OBUFT_LVCMOS15_F_2 0
module work OBUFT_LVCMOS15_F_4 0
module work OBUFT_LVCMOS15_F_6 0
module work OBUFT_LVCMOS15_F_8 0
module work OBUFT_LVCMOS15_S_12 0
module work OBUFT_LVCMOS15_S_16 0
module work OBUFT_LVCMOS15_S_2 0
module work OBUFT_LVCMOS15_S_4 0
module work OBUFT_LVCMOS15_S_6 0
module work OBUFT_LVCMOS15_S_8 0
module work OBUFT_LVCMOS18 0
module work OBUFT_LVCMOS18_F_12 0
module work OBUFT_LVCMOS18_F_16 0
module work OBUFT_LVCMOS18_F_2 0
module work OBUFT_LVCMOS18_F_4 0
module work OBUFT_LVCMOS18_F_6 0
module work OBUFT_LVCMOS18_F_8 0
module work OBUFT_LVCMOS18_S_12 0
module work OBUFT_LVCMOS18_S_16 0
module work OBUFT_LVCMOS18_S_2 0
module work OBUFT_LVCMOS18_S_4 0
module work OBUFT_LVCMOS18_S_6 0
module work OBUFT_LVCMOS18_S_8 0
module work OBUFT_LVCMOS2 0
module work OBUFT_LVCMOS25 0
module work OBUFT_LVCMOS25_F_12 0
module work OBUFT_LVCMOS25_F_16 0
module work OBUFT_LVCMOS25_F_2 0
module work OBUFT_LVCMOS25_F_24 0
module work OBUFT_LVCMOS25_F_4 0
module work OBUFT_LVCMOS25_F_6 0
module work OBUFT_LVCMOS25_F_8 0
module work OBUFT_LVCMOS25_S_12 0
module work OBUFT_LVCMOS25_S_16 0
module work OBUFT_LVCMOS25_S_2 0
module work OBUFT_LVCMOS25_S_24 0
module work OBUFT_LVCMOS25_S_4 0
module work OBUFT_LVCMOS25_S_6 0
module work OBUFT_LVCMOS25_S_8 0
module work OBUFT_LVCMOS33 0
module work OBUFT_LVCMOS33_F_12 0
module work OBUFT_LVCMOS33_F_16 0
module work OBUFT_LVCMOS33_F_2 0
module work OBUFT_LVCMOS33_F_24 0
module work OBUFT_LVCMOS33_F_4 0
module work OBUFT_LVCMOS33_F_6 0
module work OBUFT_LVCMOS33_F_8 0
module work OBUFT_LVCMOS33_S_12 0
module work OBUFT_LVCMOS33_S_16 0
module work OBUFT_LVCMOS33_S_2 0
module work OBUFT_LVCMOS33_S_24 0
module work OBUFT_LVCMOS33_S_4 0
module work OBUFT_LVCMOS33_S_6 0
module work OBUFT_LVCMOS33_S_8 0
module work OBUFT_LVDCI_15 0
module work OBUFT_LVDCI_18 0
module work OBUFT_LVDCI_25 0
module work OBUFT_LVDCI_33 0
module work OBUFT_LVDCI_DV2_15 0
module work OBUFT_LVDCI_DV2_18 0
module work OBUFT_LVDCI_DV2_25 0
module work OBUFT_LVDCI_DV2_33 0
module work OBUFT_LVDS 0
module work OBUFT_LVPECL 0
module work OBUFT_LVTTL 0
module work OBUFT_LVTTL_F_12 0
module work OBUFT_LVTTL_F_16 0
module work OBUFT_LVTTL_F_2 0
module work OBUFT_LVTTL_F_24 0
module work OBUFT_LVTTL_F_4 0
module work OBUFT_LVTTL_F_6 0
module work OBUFT_LVTTL_F_8 0
module work OBUFT_LVTTL_S_12 0
module work OBUFT_LVTTL_S_16 0
module work OBUFT_LVTTL_S_2 0
module work OBUFT_LVTTL_S_24 0
module work OBUFT_LVTTL_S_4 0
module work OBUFT_LVTTL_S_6 0
module work OBUFT_LVTTL_S_8 0
module work OBUFT_PCI33_3 0
module work OBUFT_PCI33_5 0
module work OBUFT_PCI66_3 0
module work OBUFT_PCIX 0
module work OBUFT_PCIX66_3 0
module work OBUFT_SSTL18_I 0
module work OBUFT_SSTL18_II 0
module work OBUFT_SSTL18_II_DCI 0
module work OBUFT_SSTL18_I_DCI 0
module work OBUFT_SSTL2_I 0
module work OBUFT_SSTL2_II 0
module work OBUFT_SSTL2_II_DCI 0
module work OBUFT_SSTL2_I_DCI 0
module work OBUFT_SSTL3_I 0
module work OBUFT_SSTL3_II 0
module work OBUFT_SSTL3_II_DCI 0
module work OBUFT_SSTL3_I_DCI 0
module work OBUFT_S_12 0
module work OBUFT_S_16 0
module work OBUFT_S_2 0
module work OBUFT_S_24 0
module work OBUFT_S_4 0
module work OBUFT_S_6 0
module work OBUFT_S_8 0
module work OBUF_AGP 0
module work OBUF_CTT 0
module work OBUF_F_12 0
module work OBUF_F_16 0
module work OBUF_F_2 0
module work OBUF_F_24 0
module work OBUF_F_4 0
module work OBUF_F_6 0
module work OBUF_F_8 0
module work OBUF_GTL 0
module work OBUF_GTLP 0
module work OBUF_GTLP_DCI 0
module work OBUF_GTL_DCI 0
module work OBUF_HSTL_I 0
module work OBUF_HSTL_II 0
module work OBUF_HSTL_III 0
module work OBUF_HSTL_III_18 0
module work OBUF_HSTL_III_DCI 0
module work OBUF_HSTL_III_DCI_18 0
module work OBUF_HSTL_II_18 0
module work OBUF_HSTL_II_DCI 0
module work OBUF_HSTL_II_DCI_18 0
module work OBUF_HSTL_IV 0
module work OBUF_HSTL_IV_18 0
module work OBUF_HSTL_IV_DCI 0
module work OBUF_HSTL_IV_DCI_18 0
module work OBUF_HSTL_I_18 0
module work OBUF_HSTL_I_DCI 0
module work OBUF_HSTL_I_DCI_18 0
module work OBUF_LVCMOS12 0
module work OBUF_LVCMOS12_F_2 0
module work OBUF_LVCMOS12_F_4 0
module work OBUF_LVCMOS12_F_6 0
module work OBUF_LVCMOS12_F_8 0
module work OBUF_LVCMOS12_S_2 0
module work OBUF_LVCMOS12_S_4 0
module work OBUF_LVCMOS12_S_6 0
module work OBUF_LVCMOS12_S_8 0
module work OBUF_LVCMOS15 0
module work OBUF_LVCMOS15_F_12 0
module work OBUF_LVCMOS15_F_16 0
module work OBUF_LVCMOS15_F_2 0
module work OBUF_LVCMOS15_F_4 0
module work OBUF_LVCMOS15_F_6 0
module work OBUF_LVCMOS15_F_8 0
module work OBUF_LVCMOS15_S_12 0
module work OBUF_LVCMOS15_S_16 0
module work OBUF_LVCMOS15_S_2 0
module work OBUF_LVCMOS15_S_4 0
module work OBUF_LVCMOS15_S_6 0
module work OBUF_LVCMOS15_S_8 0
module work OBUF_LVCMOS18 0
module work OBUF_LVCMOS18_F_12 0
module work OBUF_LVCMOS18_F_16 0
module work OBUF_LVCMOS18_F_2 0
module work OBUF_LVCMOS18_F_4 0
module work OBUF_LVCMOS18_F_6 0
module work OBUF_LVCMOS18_F_8 0
module work OBUF_LVCMOS18_S_12 0
module work OBUF_LVCMOS18_S_16 0
module work OBUF_LVCMOS18_S_2 0
module work OBUF_LVCMOS18_S_4 0
module work OBUF_LVCMOS18_S_6 0
module work OBUF_LVCMOS18_S_8 0
module work OBUF_LVCMOS2 0
module work OBUF_LVCMOS25 0
module work OBUF_LVCMOS25_F_12 0
module work OBUF_LVCMOS25_F_16 0
module work OBUF_LVCMOS25_F_2 0
module work OBUF_LVCMOS25_F_24 0
module work OBUF_LVCMOS25_F_4 0
module work OBUF_LVCMOS25_F_6 0
module work OBUF_LVCMOS25_F_8 0
module work OBUF_LVCMOS25_S_12 0
module work OBUF_LVCMOS25_S_16 0
module work OBUF_LVCMOS25_S_2 0
module work OBUF_LVCMOS25_S_24 0
module work OBUF_LVCMOS25_S_4 0
module work OBUF_LVCMOS25_S_6 0
module work OBUF_LVCMOS25_S_8 0
module work OBUF_LVCMOS33 0
module work OBUF_LVCMOS33_F_12 0
module work OBUF_LVCMOS33_F_16 0
module work OBUF_LVCMOS33_F_2 0
module work OBUF_LVCMOS33_F_24 0
module work OBUF_LVCMOS33_F_4 0
module work OBUF_LVCMOS33_F_6 0
module work OBUF_LVCMOS33_F_8 0
module work OBUF_LVCMOS33_S_12 0
module work OBUF_LVCMOS33_S_16 0
module work OBUF_LVCMOS33_S_2 0
module work OBUF_LVCMOS33_S_24 0
module work OBUF_LVCMOS33_S_4 0
module work OBUF_LVCMOS33_S_6 0
module work OBUF_LVCMOS33_S_8 0
module work OBUF_LVDCI_15 0
module work OBUF_LVDCI_18 0
module work OBUF_LVDCI_25 0
module work OBUF_LVDCI_33 0
module work OBUF_LVDCI_DV2_15 0
module work OBUF_LVDCI_DV2_18 0
module work OBUF_LVDCI_DV2_25 0
module work OBUF_LVDCI_DV2_33 0
module work OBUF_LVDS 0
module work OBUF_LVPECL 0
module work OBUF_LVTTL 0
module work OBUF_LVTTL_F_12 0
module work OBUF_LVTTL_F_16 0
module work OBUF_LVTTL_F_2 0
module work OBUF_LVTTL_F_24 0
module work OBUF_LVTTL_F_4 0
module work OBUF_LVTTL_F_6 0
module work OBUF_LVTTL_F_8 0
module work OBUF_LVTTL_S_12 0
module work OBUF_LVTTL_S_16 0
module work OBUF_LVTTL_S_2 0
module work OBUF_LVTTL_S_24 0
module work OBUF_LVTTL_S_4 0
module work OBUF_LVTTL_S_6 0
module work OBUF_LVTTL_S_8 0
module work OBUF_PCI33_3 0
module work OBUF_PCI33_5 0
module work OBUF_PCI66_3 0
module work OBUF_PCIX 0
module work OBUF_PCIX66_3 0
module work OBUF_SSTL18_I 0
module work OBUF_SSTL18_II 0
module work OBUF_SSTL18_II_DCI 0
module work OBUF_SSTL18_I_DCI 0
module work OBUF_SSTL2_I 0
module work OBUF_SSTL2_II 0
module work OBUF_SSTL2_II_DCI 0
module work OBUF_SSTL2_I_DCI 0
module work OBUF_SSTL3_I 0
module work OBUF_SSTL3_II 0
module work OBUF_SSTL3_II_DCI 0
module work OBUF_SSTL3_I_DCI 0
module work OBUF_S_12 0
module work OBUF_S_16 0
module work OBUF_S_2 0
module work OBUF_S_24 0
module work OBUF_S_4 0
module work OBUF_S_6 0
module work OBUF_S_8 0
module work ODDR 0
module work ODDR2 0
module work ODELAYE2 0
module work ODELAYE2_FINEDELAY 0
module work OFDDRCPE 0
module work OFDDRRSE 0
module work OFDDRTCPE 0
module work OFDDRTRSE 0
module work OR2 0
module work OR2B1 0
module work OR2B2 0
module work OR2L 0
module work OR3 0
module work OR3B1 0
module work OR3B2 0
module work OR3B3 0
module work OR4 0
module work OR4B1 0
module work OR4B2 0
module work OR4B3 0
module work OR4B4 0
module work OR5 0
module work OR5B1 0
module work OR5B2 0
module work OR5B3 0
module work OR5B4 0
module work OR5B5 0
module work ORCY 0
module work OSERDES 0
module work OSERDES2 0
module work OSERDESE1 0
module work OSERDESE2 0
module work OUT_FIFO 0
module work PCIE_2_0 0
module work PCIE_2_1 0
module work PCIE_3_0 0
module work PCIE_A1 0
module work PCIE_EP 0
module work PCIE_INTERNAL_1_1 0
module work PHASER_IN 0
module work PHASER_IN_PHY 0
module work PHASER_OUT 0
module work PHASER_OUT_PHY 0
module work PHASER_REF 0
module work PHY_CONTROL 0
module work PLLE2_ADV 0
module work PLLE2_BASE 0
module work PLL_ADV 0
module work PLL_BASE 0
module work PMCD 0
module work POST_CRC_INTERNAL 0
module work PPC405_ADV 0
module work PPC440 0
module work PS7 0
module work PULLDOWN 0
module work PULLUP 0
module work RAM128X1D 0
module work RAM128X1S 0
module work RAM128X1S_1 0
module work RAM16X1D 0
module work RAM16X1D_1 0
module work RAM16X1S 0
module work RAM16X1S_1 0
module work RAM16X2S 0
module work RAM16X4S 0
module work RAM16X8S 0
module work RAM256X1S 0
module work RAM32M 0
module work RAM32X1D 0
module work RAM32X1D_1 0
module work RAM32X1S 0
module work RAM32X1S_1 0
module work RAM32X2S 0
module work RAM32X4S 0
module work RAM32X8S 0
module work RAM64M 0
module work RAM64X1D 0
module work RAM64X1D_1 0
module work RAM64X1S 0
module work RAM64X1S_1 0
module work RAM64X2S 0
module work RAMB16 0
module work RAMB16BWE 0
module work RAMB16BWER 0
module work RAMB16BWE_S18 0
module work RAMB16BWE_S18_S18 0
module work RAMB16BWE_S18_S9 0
module work RAMB16BWE_S36 0
module work RAMB16BWE_S36_S18 0
module work RAMB16BWE_S36_S36 0
module work RAMB16BWE_S36_S9 0
module work RAMB16_S1 0
module work RAMB16_S18 0
module work RAMB16_S18_S18 0
module work RAMB16_S18_S36 0
module work RAMB16_S1_S1 0
module work RAMB16_S1_S18 0
module work RAMB16_S1_S2 0
module work RAMB16_S1_S36 0
module work RAMB16_S1_S4 0
module work RAMB16_S1_S9 0
module work RAMB16_S2 0
module work RAMB16_S2_S18 0
module work RAMB16_S2_S2 0
module work RAMB16_S2_S36 0
module work RAMB16_S2_S4 0
module work RAMB16_S2_S9 0
module work RAMB16_S36 0
module work RAMB16_S36_S36 0
module work RAMB16_S4 0
module work RAMB16_S4_S18 0
module work RAMB16_S4_S36 0
module work RAMB16_S4_S4 0
module work RAMB16_S4_S9 0
module work RAMB16_S9 0
module work RAMB16_S9_S18 0
module work RAMB16_S9_S36 0
module work RAMB16_S9_S9 0
module work RAMB18 0
module work RAMB18E1 0
module work RAMB18SDP 0
module work RAMB32_S64_ECC 0
module work RAMB36 0
module work RAMB36E1 0
module work RAMB36SDP 0
module work RAMB36SDP_EXP 0
module work RAMB36_EXP 0
module work RAMB4_S1 0
module work RAMB4_S16 0
module work RAMB4_S16_S16 0
module work RAMB4_S1_S1 0
module work RAMB4_S1_S16 0
module work RAMB4_S1_S2 0
module work RAMB4_S1_S4 0
module work RAMB4_S1_S8 0
module work RAMB4_S2 0
module work RAMB4_S2_S16 0
module work RAMB4_S2_S2 0
module work RAMB4_S2_S4 0
module work RAMB4_S2_S8 0
module work RAMB4_S4 0
module work RAMB4_S4_S16 0
module work RAMB4_S4_S4 0
module work RAMB4_S4_S8 0
module work RAMB4_S8 0
module work RAMB4_S8_S16 0
module work RAMB4_S8_S8 0
module work RAMB8BWER 0
module work ROM128X1 0
module work ROM16X1 0
module work ROM256X1 0
module work ROM32X1 0
module work ROM64X1 0
module work SIM_CONFIGE2 0
module work SIM_CONFIG_S3A 0
module work SIM_CONFIG_S3A_SERIAL 0
module work SIM_CONFIG_S6 0
module work SIM_CONFIG_S6_SERIAL 0
module work SIM_CONFIG_V5 0
module work SIM_CONFIG_V5_SERIAL 0
module work SIM_CONFIG_V6 0
module work SIM_CONFIG_V6_SERIAL 0
module work SPI_ACCESS 0
module work SRL16 0
module work SRL16E 0
module work SRL16E_1 0
module work SRL16_1 0
module work SRLC16 0
module work SRLC16E 0
module work SRLC16E_1 0
module work SRLC16_1 0
module work SRLC32E 0
module work STARTUPE2 0
module work STARTUP_FPGACORE 0
module work STARTUP_SPARTAN3 0
module work STARTUP_SPARTAN3A 0
module work STARTUP_SPARTAN3E 0
module work STARTUP_SPARTAN6 0
module work STARTUP_VIRTEX4 0
module work STARTUP_VIRTEX5 0
module work STARTUP_VIRTEX6 0
module work SUSPEND_SYNC 0
module work SYSMON 0
module work TBLOCK 0
module work TEMAC 0
module work TEMAC_SINGLE 0
module work TIMEGRP 0
module work TIMESPEC 0
module work USR_ACCESSE2 0
module work USR_ACCESS_VIRTEX4 0
module work USR_ACCESS_VIRTEX5 0
module work USR_ACCESS_VIRTEX6 0
module work VCC 0
module work XADC 0
module work XNOR2 0
module work XNOR3 0
module work XNOR4 0
module work XNOR5 0
module work XOR2 0
module work XOR3 0
module work XOR4 0
module work XOR5 0
module work XORCY 0
module work XORCY_D 0
module work XORCY_L 0
module work ZHOLD_DELAY 0
module work IPAD 0
module work OPAD 0
module work STARTUP_VIRTEX2_CLK 0
module work STARTUP_VIRTEX2_GSR 0
module work STARTUP_VIRTEX2_GTS 0
module work STARTUP_VIRTEX2_GHIGH 0
module work STARTUP_VIRTEX2_GWE 0
module work STARTUP_VIRTEX2_ALL 0
module work STARTUP_VIRTEX_CLK 0
module work STARTUP_VIRTEX_GSR 0
module work STARTUP_VIRTEX_GTS 0
module work STARTUP_VIRTEX_ALL 0
module work STARTUP_SPARTAN2_CLK 0
module work STARTUP_SPARTAN2_GSR 0
module work STARTUP_SPARTAN2_GTS 0
module work STARTUP_SPARTAN2_ALL 0
module work parallel_wr 1
module work spi_slave_r 2
module work myila 3
module work myicon 4
module work myfifo 5
module work ad9914_reg_wr 6
module work work_flow 7
module work pwr_rst 8
module work ds3502 9
module work depack 10
module work ad9914_ctrl 11
module work top 12
#Unbound instances to file Association.
Verilog
1
https://gitee.com/fibercomm/PSTR17R5B.git
git@gitee.com:fibercomm/PSTR17R5B.git
fibercomm
PSTR17R5B
PSTR17R5B
master

搜索帮助

53164aa7 5694891 3bd8fe86 5694891